300+ [UPDATED] Synopsys Interview Questions

  1. 1. How A Latch Gets Inferred In Rtl Design?

    A latch gets inferred in the RTL design:-

    • When there is no “else / default” statement in the “if / case” statements; in short if all possibilities of the conditions are not covered.
    • When all the outputs reg are not assigned the values in every condition of the “if / case” statement and some are left out, on the left out signals a latch gets inferred.
  2. 2. Does A Latch Get Inferred When There Is No Else Statement But Multiple Ifs Covering Whole Functionality?

    Conceptually no latch should be inferred but sometimes the synthesis tools are not intelligent enough and they might infer a latch. In order to avoid that, the safest way is to use an “else / default” statement in “if / case” respectively.

  3. Verilog Interview Questions

  4. 3. If There Is An Asynchronous Feedback Loop What Is The Problem?

    If there is an asynchronous loop in the design the circuit becomes oscillatory or it may reach a stable state where it might get hung and it could not get out.

  5. 4. If An Oscillatory Circuit Is There; What Happens During (a) Rtl Synthesis (b) Simulation?

    • During the RTL synthesis, the synthesis tool will give a warning during synthesis about the combinatorial feedback loop.
    • During the simulation the simulation will get stopped saying the Iteration limit reached.
  6. Analog Communication Tutorial

  7. 5. Where Can We Use Linting Tools ? Can We Use Them To Debug Syntax?

    Linting tools are used to evaluate the design for the synthesizability of the design. These tools are use to check for potential mismatches between simulation and synthesis. No they are not used to check the syntax.

  8. VHDL

  9. 6. What Can Be Done To Break The Combinational Loop?

    By adding synchronous elements in the path. If it is really needed and if the design permits then by adding the buffers in the path.

  10. 7. Why We Use B.a (blocking Assignments) And N.b.a (non Blocking Assignments)?

    B.A are used to model combinatorial logic as the value is of continuous assignment and doesn’t depend on the previous value, while N.B.A are used to model sequential circuits as the previous value is needed to propagate.

  11. VLSI

  12. 8. What Will Be The Output Of The Following Code?
    Always ( * )
    Begin
    A = B + D;
    A = C + B;
    End

    This is actually a race condition and the tool will take the last assignment on “a”.

  13. 9. Is There A Latch In The Following Code? What If The “sel” Value Is “x”. What Will Be The Simulation Result?
    Always @ ( En )
    Begin
    Dout = 0
    Case ( Sel )
    0: Dout = In ;
    End

    No There is no Latch as dout=0 before the case statement will be executed. Even if the “sel” value is “X”, no latch would be formed as “dout” has been already initialized to “0”.

  14. Analog Communication

  15. 10. Is There A Latch In The Following Code? What If The “sel” Value Is “x”. What Will Be The Simulation Result?
    Always @ ( En )
    Begin
    Dout = 0
    Case ( Sel )
    0: Dout = In ;
    Default : Dout = 1;
    End

    No There is no latch as the default statement is present and the output will be govern by the case statement.

  16. 11. If No Parameters In The Always Sensitivity List, How The Always Block Executes?

    It will repeat itself like a forever loop but the performance will degrade.

  17. Application Security

  18. 12. Tell The Scenarios Where Synthesis Error Occurs.?

    A synthesis error can occur in the following scenarios:

    1. When there is multiple assignments on the same signal in two different blocks, “a multiple driver found” message will come.
    2. When there is mixture of asynchronous reset with some other signal and that signal is not used in the sensitivity list; basically mixing of multiple edges and synchronous and asynchronous elements are not allowed.
    3. No element in the always block sensitivity list.
    4. Mixing of B.A and N.B.A on the same signal in two different conditional statements.
    5. If reg datatypes are used in assign statements, etc.
  19. Verilog Interview Questions

  20. 13. Is The Following Code Synthesizable?
    Always @ (posedge Clk1 Or Negedge Clk2
    )
    Begin
    If (!clk2)
    Dout
    Else
    Dout
    End

    Yes it is synthesizable try to read clk2 as active low reset.

  21. 14. Are The Following Codes Are Synthesizable ? Is There Any Difference In The Synthesis Result Of (i) And (ii)?
    (i) Always @ (posedge Clk1 Or Negedge Clk2)
    Begin
    If (!clk2 && A)
    Dout
    Else
    Dout
    End
    (ii)

    always @ (posedge Clk1 Or Negedge Rst)
    Begin
    If (!rst && A)
    Dout
    Else
    Dout
    End

    Both are not synthesizable as there is a mixing of asynchronous and synchronous element in the if condition.